W9AH>TTPY7S,KD9VQI-10,WIDE1*,WIDE2-1,qAR,MACCTY:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8OEC-10,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8WNJ-1,WI9HRO-1,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,K8WNJ-1,WI9HRO-1,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAS,W8DF:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8WNJ-1,WI9HRO-1,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7,WT9M-5,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8OEC-10,WIDE1,K8YSE-1,WIDE2*,qAR,NV8P-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8COP-4*,WIDE2-1,qAR,KD8EHO-10:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8COP-4*,WIDE2-1,qAR,KD8EHO-10:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,AB9RP-1,WIDE1,PETSKY*,qAR,NJ9V-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,K8COP-4*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,K8COP-4,AB8DT-2,WIDE2*,qAR,NJ9V-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB8DT-2,WIDE1*,WIDE2-1,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8OEC-10,WIDE1,JAMTWP,WIDE2*,qAR,SAGANW:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8OEC-10,WIDE1,K8WNJ-1*,WIDE2,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8OEC-10,WIDE1,AB8DT-2,WIDE2*,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,K8OEC-10,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KD9UWG-1,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,K8COP-3,JAMTWP,WIDE2*,qAR,MAYVIL:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,K8COP-4*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,K8COP-4*,WIDE2,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K8COP-4*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,AB9RP-1,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,K8COP-4,K8OEC-10,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,N9LKH-1,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,K8COP-4*,WIDE2,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)