DW1298>APRS,TCPXX*,qAX,CWOP-7:@221845z4123.14N/00211.74E_000/000g000t072r000p003P001h82b10120L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@221900z4123.14N/00211.74E_000/000g000t072r000p001P001h83b10121L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@221915z4123.14N/00211.74E_000/000g000t071r000p001P001h83b10122L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@221930z4123.14N/00211.74E_000/000g000t071r000p001P001h84b10122L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@221945z4123.14N/00211.74E_000/000g000t071r000p001P001h84b10124L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@222000z4123.14N/00211.74E_000/000g000t071r000p001P001h84b10127L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@222015z4123.14N/00211.74E_000/000g000t070r000p001P001h84b10128L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@222030z4123.14N/00211.74E_000/000g000t070r000p001P001h83b10129L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@222045z4123.14N/00211.74E_000/000g000t070r000p001P001h84b10130L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@222100z4123.14N/00211.74E_000/000g000t070r000p001P001h84b10130L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@222115z4123.14N/00211.74E_000/000g000t070r000p001P001h84b10131L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@222130z4123.14N/00211.74E_000/000g000t069r000p001P001h84b10133L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@222145z4123.14N/00211.74E_000/000g000t069r000p001P001h85b10134L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@222200z4123.14N/00211.74E_000/000g000t069r000p001P000h86b10134L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@222215z4123.14N/00211.74E_000/000g000t069r000p001P000h86b10135L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@222230z4123.14N/00211.74E_000/000g000t069r000p001P000h86b10135L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@222245z4123.14N/00211.74E_000/000g000t070r000p001P000h86b10136L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@222300z4123.14N/00211.74E_000/000g000t070r000p001P000h84b10135L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@222315z4123.14N/00211.74E_000/000g000t071r000p001P000h83b10135L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@222330z4123.14N/00211.74E_000/000g000t071r000p001P000h83b10134L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@222345z4123.14N/00211.74E_000/000g000t071r000p001P000h83b10134L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230000z4123.14N/00211.74E_000/000g000t071r000p001P000h82b10133L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@230015z4123.14N/00211.74E_000/000g000t071r000p001P000h81b10132L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@230030z4123.14N/00211.74E_000/000g000t071r000p001P000h79b10131L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230045z4123.14N/00211.74E_000/000g000t071r000p001P000h79b10131L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230100z4123.14N/00211.74E_000/000g000t070r000p001P000h81b10129L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230115z4123.14N/00211.74E_000/000g000t069r000p001P000h82b10129L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230130z4123.14N/00211.74E_000/000g000t069r000p001P000h83b10126L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@230145z4123.14N/00211.74E_000/000g000t068r000p001P000h84b10127L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@230200z4123.14N/00211.74E_000/000g000t068r000p001P000h84b10125L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@230215z4123.14N/00211.74E_000/000g000t068r000p001P000h84b10127L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230230z4123.14N/00211.74E_000/000g000t068r000p001P000h84b10125L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230245z4123.14N/00211.74E_000/000g000t068r000p001P000h84b10125L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@230300z4123.14N/00211.74E_000/000g000t068r000p001P000h84b10125L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230315z4123.14N/00211.74E_000/000g000t068r000p001P000h84b10125L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230330z4123.14N/00211.74E_000/000g000t068r000p001P000h85b10125L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230345z4123.14N/00211.74E_000/000g000t067r000p001P000h85b10123L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230400z4123.14N/00211.74E_000/000g000t068r000p001P000h85b10120L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230415z4123.14N/00211.74E_000/000g000t067r000p001P000h85b10122L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@230430z4123.14N/00211.74E_000/000g000t067r000p001P000h85b10120L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230445z4123.14N/00211.74E_000/000g000t067r000p001P000h85b10120L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230500z4123.14N/00211.74E_000/000g000t067r000p001P000h86b10126L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@230515z4123.14N/00211.74E_000/000g000t067r000p001P000h86b10127L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230530z4123.14N/00211.74E_000/000g000t067r000p001P000h84b10127L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@230545z4123.14N/00211.74E_000/000g000t068r000p001P000h86b10131L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@230600z4123.14N/00211.74E_000/000g000t068r000p001P000h86b10130L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230615z4123.14N/00211.74E_000/000g000t067r000p001P000h85b10133L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@230630z4123.14N/00211.74E_000/000g000t067r000p001P000h84b10132L012.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@230645z4123.14N/00211.74E_000/000g000t067r000p001P000h84b10132L025.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@230700z4123.14N/00211.74E_000/000g000t068r000p000P000h85b10132L047.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@230715z4123.14N/00211.74E_000/000g000t068r000p000P000h85b10131L109.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230730z4123.14N/00211.74E_000/000g000t068r000p000P000h85b10132L100.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@230745z4123.14N/00211.74E_000/000g000t068r000p000P000h84b10133L241.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230800z4123.14N/00211.74E_000/000g000t069r000p000P000h83b10133L167.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@230815z4123.14N/00211.74E_000/000g000t069r000p000P000h83b10136L081.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@230830z4123.14N/00211.74E_000/000g000t069r000p000P000h82b10138L111.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230845z4123.14N/00211.74E_000/000g000t070r000p000P000h82b10137L438.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230900z4123.14N/00211.74E_000/000g000t070r000p000P000h81b10138L455.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@230915z4123.14N/00211.74E_000/000g000t071r000p000P000h80b10140L489.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@230930z4123.14N/00211.74E_000/000g000t071r000p000P000h79b10141L522.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@230945z4123.14N/00211.74E_000/000g000t071r000p000P000h78b10138L199.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@231000z4123.14N/00211.74E_000/000g000t071r000p000P000h79b10138L142.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231015z4123.14N/00211.74E_000/000g000t071r000p000P000h78b10138L666.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@231030z4123.14N/00211.74E_000/000g000t072r000p000P000h77b10136L707.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@231045z4123.14N/00211.74E_000/000g000t073r000p000P000h78b10134L577.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@231100z4123.14N/00211.74E_000/000g000t072r000p000P000h78b10133L707.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@231115z4123.14N/00211.74E_000/000g000t072r000p000P000h77b10135L325.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@231130z4123.14N/00211.74E_000/000g000t071r000p000P000h81b10133L775.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231145z4123.14N/00211.74E_000/000g000t071r000p000P000h82b10134L292.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231200z4123.14N/00211.74E_000/000g000t071r000p000P000h81b10131L737.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231215z4123.14N/00211.74E_000/000g000t071r000p000P000h81b10130L105.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@231230z4123.14N/00211.74E_000/000g000t071r001p001P001h82b10129L287.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231245z4123.14N/00211.74E_000/000g000t071r001p001P001h83b10127L250.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231300z4123.14N/00211.74E_000/000g000t070r001p001P001h78b10124L091.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@231315z4123.14N/00211.74E_000/000g000t070r001p001P001h77b10128L076.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@231330z4123.14N/00211.74E_000/000g000t069r000p001P001h76b10126L076.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231345z4123.14N/00211.74E_000/000g000t070r000p001P001h73b10123L571.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231400z4123.14N/00211.74E_000/000g000t072r000p001P001h74b10121L534.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@231415z4123.14N/00211.74E_000/000g000t074r000p001P001h73b10122L503.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@231430z4123.14N/00211.74E_000/000g000t073r000p001P001h74b10121L466.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@231445z4123.14N/00211.74E_000/000g000t073r000p001P001h75b10121L439.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@231500z4123.14N/00211.74E_000/000g000t074r000p001P001h75b10120L408.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@231515z4123.14N/00211.74E_000/000g000t074r000p001P001h75b10119L376.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@231530z4123.14N/00211.74E_000/000g000t074r000p001P001h74b10119L311.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231545z4123.14N/00211.74E_000/000g000t074r000p001P001h75b10117L294.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@231600z4123.14N/00211.74E_000/000g000t074r000p001P001h75b10119L229.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@231615z4123.14N/00211.74E_000/000g000t074r000p001P001h76b10120L120.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@231630z4123.14N/00211.74E_000/000g000t074r000p001P001h77b10120L153.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@231645z4123.14N/00211.74E_000/000g000t073r000p001P001h78b10120L062.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-6:@231700z4123.14N/00211.74E_000/000g000t073r000p001P001h78b10120L047.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@231715z4123.14N/00211.74E_000/000g000t073r000p001P001h79b10120L025.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231730z4123.14N/00211.74E_000/000g000t073r000p001P001h80b10119L012.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-4:@231745z4123.14N/00211.74E_000/000g000t072r000p001P001h81b10120L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-3:@231800z4123.14N/00211.74E_000/000g000t072r000p001P001h81b10120L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-5:@231815z4123.14N/00211.74E_000/000g000t072r000p001P001h81b10122L000.DsWLL
DW1298>APRS,TCPXX*,qAX,CWOP-7:@231830z4123.14N/00211.74E_000/000g000t072r000p001P001h82b10122L000.DsWLL